Intel announcements: Clearwater Forest processors, 14A process, and some other plans

Intel announcements: Clearwater Forest processors, 14A process, and some other plans

23.02.2024
Author: HostZealot Team
2 min.
124

At IFS Direct Connect 2024, representatives of the company mentioned about the production of Clearwater Forest processor wafers on the 18A process, as well as the 14A process that will use High-NA EUV technology. At this stage, Intel is pursuing an aim of launching five processes during a four-year period. Microsoft was also present at the event and representatives informed about their chips on the Intel 18A process.

Intel wants to take the leading place in the world's chip fabs during the following 6 years. This plan relates not only to the direct production of processors but also to the provision of cooling and packaging/connection solutions. The company is now named Intel Foundry and has strategies to serve both internal needs and external clients. A sustainable supply chain should ensure equal service to all planned areas.

Intel also surprised its users with new opportunities to create their own AI chips using the company's technologies.

Intel 4 and 7 processes are already available on the market, and version 3 is almost ready for mass production. Processes 18A and 20A will create innovative chips that will have PowerVia (power supply system) and GAA or RibbonFET gate-all-around technology. The new power supply system will provide optimized routing and also will have a positive impact on transistor density and performance. The GAA technology will also have an impact on transistor density but at a lower scale.

The last version of Intel Clearwater Forest is prepared for production. This chip is the first large-scale solution based on the 18A process. The chip uses 3D Foveros packaging technology, which joins the 18A CPU to the Intel 3 base crystal.

The Clearwater Forest processor utilizes the latest industry interface, UCIe, which is used to connect the chips. This is a significant step in the development because the interface is supported by NVIDIA, AMD, Samsung, and more than 120 other manufacturers to standardize the connection between chips. This solution helps to reduce costs and builds a large ecosystem of combined chips from different manufacturers.

As for Intel's 14A process, it will be the first solution to have a High-NA EUV tool. This lithography tool will have a significant impact on production by enabling smaller chips to be printed and higher performance. Intel will be the first to use this tool, which has been constantly delayed due to cost issues.

In addition, new "linear extensions" will be present in such processes as Intel 16, 7, and 3. It is planned to deliver the processes at 2-year intervals and expand the lines at the same intervals.

It is also planned that the Intel 12 process will be released in the near future through production cooperation with UMC.

The Foundry Services Accelerator will be responsible for the more effortless adaptation of developers to Intel's production technology. At this stage, this program has 34 partners, and they are divided into 4 alliances such as USMAG, EDA, design services, and IP. A fairly impressive list of partners includes such influential companies in the FDA industry as Siemens, Keysight, and Ansys, IP partners include Rambus, Arm, Rambus, and many others.

Related Articles